TOP
0
0
即日起~6/30,暑期閱讀書展,好書7折起
CMOS模擬集成電路工程實例設計(簡體書)
滿額折

CMOS模擬集成電路工程實例設計(簡體書)

商品資訊

人民幣定價:69 元
定價
:NT$ 414 元
優惠價
87360
領券後再享88折起
庫存:1
可得紅利積點:10 點
相關商品
商品簡介
作者簡介
名人/編輯推薦
目次

商品簡介

本書是模擬集成電路設計領域相關專業的一本入門教材,以 CMOS模擬集成電路設計為核心,闡述模擬集成電路工程實踐中常見電路基本概念、工作原理和設計方法。本書面向工程實踐,先從MOSFET 的基本結構和I-V 特性出發,詳細地介紹 CMOS模擬集成電路 EDA 設計工具的使用方法;然後分別介紹包括電流鏡、單級運算放大器、兩級運算放大器、帶隙電壓基準、環路振蕩器、比較器、采樣保持電路和逐次逼近型模數轉換器等典型電路結構的設計方法與仿真驗證過程,涵蓋範圍廣,工程實踐性強。 本書可作為高等院校電子科學與技術、微電子、集成電路設計等專業高年級本科生或研究生的教材, 也可作為半導體和集成電路設計領域工程技術人員的參考書。

作者簡介

劉磊,副教授,博士。2008年畢業於中國科學院半導體研究所,獲得材料物理與化學博士學位。2008年7月起在河北大學電子信息工程學院任教,2013年獲得“河北省青年拔尖人才”稱號。擔任“模擬集成電路設計”“集成電路工藝原理”等多門課程任課教師,目前主要從事全固態鋰離子電池材料的研究與制備,以及電源管理芯片設計與研究工作。主持國家自然科學基金、河北省自然科學基金等十余項,發表學術論文50余篇。

名人/編輯推薦

(1)體現工程教育專業認證的理念,注重培養學生解決複雜工程問題的能力,將 CMOS 模擬集成電路設計能力的培養常態化、課程化。
(2)提供大量典型、實用的設計案例,源自作者多年從事集成電路
領域本科生、研究生教學、科研與工程實踐的深厚積累。
(3)全流程展示 COMS 集成電路設計過程,注重培養面向工程實踐的集成電路設計方法與思路。
(4)新形態教材,配套資源豐富,包括教學大綱、PPT 課件、電路仿真源代碼,可掃描目錄中二維碼下載。

21世紀以信息技術為代表的高新技術發展迅猛,集成電路(Integrated Circuit,IC)作為20世紀最偉大的發明之一,已發展成為信息產業的核心技術,在國家安全、經濟發展、人民生活等領域發揮了重要的支撐和保障作用。集成電路設計與製造產業的水平已成為衡量一個國家綜合實力的重要標志,也是國力競爭的戰略重點。歷史的原因,我國集成電路領域的發展與國外還存在明顯的代差,尤其是相關領域人才的培養與供給遠不能滿足我國集成電路事業發展的需要。

作者在集成電路設計的教學過程中,深刻認識到目前在集成電路設計領域仍然缺乏起點較低、實踐性強、淺顯易懂的入門教材與參考書,因此與幾位長期從事相關領域教學與科研工作的同事一起編寫了本書。本書首先介紹CMOS模擬集成電路分析與設計的基礎知識,然後介紹集成電路工程實踐中常用典型電路結構的設計思路與方法,同時在仿真優化的過程中介紹相關EDA軟件的使用方法。本書的特點是深入淺出地介紹典型CMOS模擬集成電路的設計方法,力求理論與工程實踐相結合,使讀者快速掌握常用EDA的使用方法,為獨立進行模擬集成電路的設計打下堅實的基礎。

本書共分為10章。

第1、2章主要介紹CMOS模擬集成電路的基本理論和EDA設計工具。第1章首先介紹CMOS器件的基本結構與常見的無源器件,然後介紹模擬集成電路的基本設計方法和流程。第2章主要介紹電路設計與仿真工具Cadence Spectre、版圖設計工具Cadence Virtuoso以及模擬版圖驗證、參數提取工具Mentor Calibre三大EDA設計工具的使用方法,並結合反相器的全定制實驗對以上EDA工具應用進行實踐。

第3~10章介紹幾種典型電路結構的設計方法與仿真優化過程。第3章首先介紹電流鏡的設計和仿真實例,對電流鏡的原理與應用進行闡述; 然後介紹改進型共源共柵電流鏡的設計和仿真過程。第4章介紹常見單級運算放大器的結構與性能參數,並以折疊式共源共柵電路為例,詳細介紹單極運放的設計思路與參數計算過程,並給出仿真優化結果。第5章基於gm/ID設計方法介紹兩級放大器設計方法與優化過程。第6章詳細介紹帶隙電壓基準的原理與性能參數,並以Banba帶隙基準結構為基礎設計,仿真優化一款低壓帶隙基準源。第7章講述環路振蕩器的設計與仿真實例。第8章首先說明比較器的基本概念和分類,然後介紹一種中高精度比較器的設計與分析過程。第9章介紹一種采樣保持電路的原理、參數與設計仿真過程。第10章詳細給出逐次逼近型模數轉換器的設計實例,首先對模數轉換器的工作原理和分類進行介紹,然後設計並實現一種12位 500kS/s SAR ADC電路,對各電路模塊及系統電路進行仿真優化。

本書第1、2、6~10章由劉磊編寫,第3章由閆小兵編寫,第4章由師建英編寫,第5章由馬蕾編寫。感謝王丹、墨佳豪、張紫雨和孫世杰參與文字整理與插圖繪製工作,也感謝在本書出版過程中給予幫助的所有人。

由於編者水平有限,書中難免有不足和錯誤之處,懇請讀者批評指正。


劉磊2023年8月

目次

第1章緒論

1.1集成電路的發展

1.2MOSFET的基本結構與工作模式

1.2.1MOSFET的結構與符號

1.2.2MOS管的電流電壓特性

1.3無源器件

1.3.1電阻

1.3.2電容

1.3.3電感

1.4模擬集成電路的設計過程

1.4.1模擬集成電路的設計方法與流程

1.4.2模數混合電路的設計過程舉例


第2章CMOS模擬集成電路EDA設計工具

2.1電路設計與仿真工具Cadence Spectre

2.1.1Cadence Spectre啟動設置

2.1.2主窗口和選項

2.1.3設計庫管理器

2.1.4電路圖編輯器

2.1.5模擬設計環境

2.1.6波形顯示窗口

2.1.7Spectre庫中的基本器件

2.2版圖設計工具Cadence Virtuoso

2.2.1版圖設計基礎

2.2.2版圖編輯大師Virtuoso Layout Editor

2.2.3Virtuoso Layout基本操作

2.3模擬版圖驗證及參數提取工具Mentor Calibre

2.3.1版圖驗證基礎

2.3.2Mentor Calibre工具

2.3.3Calibre DRC工具

2.3.4Calibre LVS工具

2.3.5Calibre PEX工具

2.4反相器的全定制實驗

2.4.1電路圖繪製及仿真

2.4.2版圖繪製及驗證

第3章電流鏡的設計和仿真實例

3.1電流鏡設計基礎

3.1.1電流鏡的設計原理

3.1.2電流鏡中的誤差分析

3.1.3常見的電流鏡

3.2電流鏡設計與仿真

3.2.1電流鏡性能參數要求

3.2.2電路設計

3.2.3仿真結果

第4章單級運算放大器的設計與仿真實例

4.1單級運算放大器設計基礎

4.1.1常見的單極放大器

4.1.2運算放大器的主要性能參數

4.2單級放大器的結構確定與參數計算

4.2.1選擇工藝庫和確定工藝參數

4.2.2電路結構的選擇與確定

4.2.3電路器件參數的計算

4.3電路仿真實例

4.3.1直流仿真

4.3.2交流仿真

4.3.3壓擺率仿真

4.3.4仿真結果

第5章兩級運算放大器

5.1兩級運算放大器設計基礎

5.1.1兩級運算放大器結構概述

5.1.2兩級運算放大器頻率補償

5.1.3gm/ID設計方法

5.2兩級運算放大器結構確定與參數計算

5.2.1兩級運算放大器設計目標

5.2.2確定電路結構

5.2.3選擇gm/ID參數

5.2.4確定電路具體參數

5.3電路仿真實例

5.3.1gm/ID仿真操作方法

5.3.2兩級運算放大器的直流仿真

5.3.3兩級運算放大器的交流仿真

5.3.4瞬態分析

5.4仿真結果對比

第6章帶隙電壓基準的設計與仿真實例

6.1傳統帶隙電壓基準的結構與工作原理

6.1.1負溫度系數電壓的產生

6.1.2正溫度系數電壓的產生

6.1.3零溫度系數電壓

6.2常見帶隙基準的實現電路

6.2.1帶隙基準源之一

6.2.2帶隙基準源之二

6.2.3帶隙基準源之三

6.2.4帶隙基準源之四

6.2.5帶隙基準源之五

6.3性能參數

6.4設計與仿真實例

6.4.1設計指標要求

6.4.2基本設計思路

6.4.3仿真結果

第7章環形振蕩器的設計與仿真實例

7.1振蕩器的基本原理

7.1.1雙端負反饋系統

7.1.2單端能量補償系統

7.2振蕩器的分類

7.2.1環形振蕩器

7.2.2LC振蕩器

7.3環形振蕩器設計與仿真分析

第8章比較器的設計與仿真實例

8.1比較器及其分類

8.2中高精度比較器的設計與分析

8.2.1比較器的性能參數

8.2.2前置運算放大器的設計

8.2.3動態鎖存比較器的設計

8.3比較器的仿真實例

8.3.1比較器的功能仿真

8.3.2比較器前置運算放大器的仿真

8.3.3動態比較器的輸入失調仿真

第9章采樣保持電路的設計與仿真實例

9.1采樣保持電路設計基礎

9.1.1采樣定理

9.1.2采樣保持電路的基本原理

9.1.3采樣保持電路的分類

9.1.4采樣保持電路的主要性能參數

9.2采樣保持電路的結構設計

9.2.1采樣電容的分析與計算

9.2.2時鐘控制電路設計

9.2.3采樣開關電路設計

9.3采樣保持電路仿真實例

9.3.1采樣電容的仿真

9.3.2時鐘控制電路的仿真

9.3.3采樣開關動態性能的仿真

第10章逐次逼近型模數轉換器的設計與仿真實例

10.1模數轉換器設計基礎

10.1.1數模轉換器

10.1.2模數轉換器

10.212位500kS/s SAR ADC系統設計

10.2.1SAR ADC系統設計

10.2.212位分段電容式DAC設計

10.2.3數字邏輯控制模塊的設計

10.312位 500kS/s SAR ADC的仿真

10.3.112位分段電容式DAC仿真

10.3.2數字邏輯控制的時序仿真

10.3.312位 500kS/s SAR ADC系統仿真

參考文獻

您曾經瀏覽過的商品

購物須知

大陸出版品因裝訂品質及貨運條件與台灣出版品落差甚大,除封面破損、內頁脫落等較嚴重的狀態,其餘商品將正常出貨。

特別提醒:部分書籍附贈之內容(如音頻mp3或影片dvd等)已無實體光碟提供,需以QR CODE 連結至當地網站註冊“並通過驗證程序”,方可下載使用。

無現貨庫存之簡體書,將向海外調貨:
海外有庫存之書籍,等候約45個工作天;
海外無庫存之書籍,平均作業時間約60個工作天,然不保證確定可調到貨,尚請見諒。

為了保護您的權益,「三民網路書店」提供會員七日商品鑑賞期(收到商品為起始日)。

若要辦理退貨,請在商品鑑賞期內寄回,且商品必須是全新狀態與完整包裝(商品、附件、發票、隨貨贈品等)否則恕不接受退貨。

優惠價:87 360
庫存:1

暢銷榜

客服中心

收藏

會員專區